UPM Institutional Repository

Investigating the impact of on-chip interconnection noise on dynamic thermal management efficiency


Citation

Rahimipour, Somayeh and Flayyih, Wameedh Nazar and Kamsani, Noor Ain and Stan, Mircea and Rokhani, Fakhrul Zaman (2015) Investigating the impact of on-chip interconnection noise on dynamic thermal management efficiency. In: 2015 IEEE International Circuits and Systems Symposium (ICSyS 2015), 2-4 Sept. 2015, Holiday Villa Beach Resort & Spa, Langkawi, Kedah. (pp. 85-89).

Abstract

Dynamic Thermal Management (DTM) emerged as a solution to address the reliability challenges with thermal hotspots and unbalanced temperatures. DTM efficiency is highly affected by the accuracy of the temperature information presented to the DTM manager. This work aims to investigate the effect of inaccuracy caused by the deep sub-micron (DSM) noise during the transmission of temperature information to the manager on DTM efficiency. A simulation framework has been developed and results show up to 38% DTM performance degradation and 18% unattended cycles in emergency temperature under DSM noise. The finding highlights the importance of further research in providing reliable on-chip data transmission in DTM application.


Download File

[img]
Preview
Text (Abstract)
Investigating the impact of on-chip interconnection noise on dynamic thermal management efficiency.pdf

Download (34kB) | Preview

Additional Metadata

Item Type: Conference or Workshop Item (Paper)
Divisions: Faculty of Engineering
DOI Number: https://doi.org/10.1109/CircuitsAndSystems.2015.7394070
Publisher: IEEE
Keywords: DTM; DSM noise; Performance
Depositing User: Nabilah Mustapa
Date Deposited: 08 Apr 2019 08:31
Last Modified: 08 Apr 2019 08:31
Altmetrics: http://www.altmetric.com/details.php?domain=psasir.upm.edu.my&doi=10.1109/CircuitsAndSystems.2015.7394070
URI: http://psasir.upm.edu.my/id/eprint/14333
Statistic Details: View Download Statistic

Actions (login required)

View Item View Item